一个简单的sv验证框架

最近一直在做一些小模块的验证,之前都是在用现成的环境修修改改。
写一个纯sv的环境;

首先是harness;

阅读更多